Browse Wiki & Semantic Web

Jump to: navigation, search
Http://dbpedia.org/resource/Netlist
  This page has no properties.
hide properties that link here 
  No properties link to this page.
 
http://dbpedia.org/resource/Netlist
http://dbpedia.org/ontology/abstract Netzlisten sind im Bereich Elektronik-EntwNetzlisten sind im Bereich Elektronik-Entwurf (ECAD bzw. CAD-Entwurf von Leiterplatten, s. Leiterplattenentflechtung und Multi-Chip-Modulen/MCM) eine textuelle Beschreibung der elektrischen Verbindungen (Schaltplan) zwischen Bauelementen. Im IC-Design (Chipentwurf) handelt es sich um die Beschreibung der Verbindungen zwischen den auf dem Chip enthaltenen Modulen, wie beispielsweise Logikgatter oder Speicherblöcke. Das übliche textuelle Dateiformat für elektronische Netzlisten ist das so genannte Electronic Design Interchange Format (EDIF).ectronic Design Interchange Format (EDIF). , 在电子设计自动化中,网表(英語:netlist),或称连线表,是指用基础的逻辑门来描在电子设计自动化中,网表(英語:netlist),或称连线表,是指用基础的逻辑门来描述数字电路连接情况的描述方式。由于逻辑门阵列有着连线表一样的排列外观,因此称之为“网表”。 网表通常传递了电路连接方面的信息,例如模块的实例、线网以及相关属性。如果需要包含更多的硬件信息,通常会使用硬件描述语言,例如Verilog、VHDL或其他的专用语言来进行描述、验证和仿真。高抽象层次(如寄存器传输级)的硬件描述可以通过逻辑综合转换为低抽象层次(逻辑门级)的电路连线网表,这一步骤目前可以使用自动化工具完成,这也大大降低了设计人员处理超大规模集成电路的繁琐程度。硬件厂商利用上述网表,可以制造具体的专用集成电路或其他电路。一些相对较小的电路也可以在现场可编程逻辑门阵列上实现。 根据不同的分类,网表可以是物理或逻辑的,也可以是基于实例或基于线网的,抑或是平面的或多层次的,等等。网表可以是物理或逻辑的,也可以是基于实例或基于线网的,抑或是平面的或多层次的,等等。 , In electronic design, a netlist is a descrIn electronic design, a netlist is a description of the connectivity of an electronic circuit. In its simplest form, a netlist consists of a list of the electronic components in a circuit and a list of the nodes they are connected to. A network (net) is a collection of two or more interconnected components. The structure, complexity and representation of netlists can vary considerably, but the fundamental purpose of every netlist is to convey connectivity information. Netlists usually provide nothing more than instances, nodes, and perhaps some attributes of the components involved. If they express much more than this, they are usually considered to be a hardware description language such as Verilog or VHDL, or one of several languages specifically designed for input to simulators or hardware compilers (such as SPICE analog simulation netlists).such as SPICE analog simulation netlists). , La parola netlist è usata principalmente nLa parola netlist è usata principalmente nel campo della progettazione elettronica per indicare l'insieme delle connessioni (net) elettriche di un circuito elettronico, tipicamente riassunte in un file secondo vari formati. Salvo rari casi, la netlist viene generata automaticamente a partire da uno schema elettrico. Se la netlist contiene anche altre informazioni più approfondite, viene generalmente considerata come un (HDL) come ad esempio Verilog, VHDL, o uno dei vari linguaggi specifici per fornire i dati in ingresso a programmi di simulazione circuitale. Le netlist possono essere fisiche o logiche; basate sulle istanze o sulle connessioni (net-based); flat o gerarchiche. Le netlist net-based solitamente descrivono tutte le istanze e i loro attributi, quindi elencano ciascuna net e le porte a cui sono connesse. EDIF è probabilmente lo standard più conosciuto per le netlist net-based.d più conosciuto per le netlist net-based. , القائمة الشبكية هي توصيف لاتصال دائرة إلكترونية في التصميم الالكتروني، وتتكون في أبسط صورها من قائمة من المكونات الإلكترونية في دائرة مربوطة بقائمة من العقد، والشبكة ما هي إلا مجموعة من اثنين أو أكثر من العناصر المرتبطة ببعض. , Выражение список соединений (или список цеВыражение список соединений (или список цепей) — калька с термина англ. netlist имеет несколько значений. Список электрических соединений (при разработке электронных устройств) — текстовое представление информации (формат файла) о электрических соединениях, связывающих компоненты электронного устройства. Список соединений (при проектировании интегральных схем) — текстовое представление информации (формат файла) о соединениях модулей (логических элементов, блоков памяти и др.) интегральной схемы. В списках соединений обычно приводится информация о внутрисхемных соединениях и, как правило, не содержится ничего другого, кроме перечня приборов (модулей, узлов, компонентов, «экземпляров»), «соединений» между ними и нескольких атрибутов (параметров). Если требуется описать нечто более сложное, используют специализированные языки описания аппаратуры (Verilog, VHDL и др.). Классификация списков соединений: * физические или логические; * по способу построения: * построенные на основе экземпляров приборов (англ. instance-based); * построенные на основе соединений (англ. net-based); * по наличию/отсутствую вложенных компонентов: * «плоские» (англ. flat) или «развёрнутые» (англ. unfolded); * «иерархические» (англ. hierarchical) или «свёрнутые» (англ. folded).rarchical) или «свёрнутые» (англ. folded). , Une netlist décrit un circuit électrique (Une netlist décrit un circuit électrique (e.g. circuits imprimés, circuits intégrés). Un circuit électrique de base est composé d’éléments électriques (capacitances, résistances, inductances) reliés par des fils conducteurs. Une netlist peut être composée de plusieurs sous-circuits, qui peuvent quant à eux, être instanciés dans d'autres circuits et/ou sous-circuits. Une netlist sert souvent comme point d'entrée d'un simulateur électrique et peut être écrite à la main, mais aussi obtenue à partir d'un outil d'extraction ou de synthèse.ir d'un outil d'extraction ou de synthèse.
http://dbpedia.org/ontology/wikiPageExternalLink https://web.stanford.edu/class/ee133/handouts/general/spice_ref.pdf + , https://reference.wolfram.com/applications/insydes/Tutorial/TheNetlistFormat.html +
http://dbpedia.org/ontology/wikiPageID 22164
http://dbpedia.org/ontology/wikiPageLength 9321
http://dbpedia.org/ontology/wikiPageRevisionID 1090603567
http://dbpedia.org/ontology/wikiPageWikiLink http://dbpedia.org/resource/Hardware_description_language + , http://dbpedia.org/resource/Capacitance + , http://dbpedia.org/resource/MOSFET + , http://dbpedia.org/resource/Graph_%28abstract_data_type%29 + , http://dbpedia.org/resource/Bipolar_junction_transistor + , http://dbpedia.org/resource/RC_time_constant + , http://dbpedia.org/resource/Simulator + , http://dbpedia.org/resource/VHDL + , http://dbpedia.org/resource/Electronic_design + , http://dbpedia.org/resource/Node_%28circuits%29 + , http://dbpedia.org/resource/Electronic_component + , http://dbpedia.org/resource/Verilog + , http://dbpedia.org/resource/EDIF + , http://dbpedia.org/resource/Resistor + , http://dbpedia.org/resource/SPICE + , http://dbpedia.org/resource/Capacitor + , http://dbpedia.org/resource/Hardware_compiler + , http://dbpedia.org/resource/Integrated_circuit + , http://dbpedia.org/resource/Category:Electronic_design_automation + , http://dbpedia.org/resource/Electronic_circuit +
http://dbpedia.org/property/wikiPageUsesTemplate http://dbpedia.org/resource/Template:Use_American_English + , http://dbpedia.org/resource/Template:More_citations_needed + , http://dbpedia.org/resource/Template:Short_description + , http://dbpedia.org/resource/Template:Reflist + , http://dbpedia.org/resource/Template:About +
http://purl.org/dc/terms/subject http://dbpedia.org/resource/Category:Electronic_design_automation +
http://purl.org/linguistics/gold/hypernym http://dbpedia.org/resource/Description +
http://www.w3.org/ns/prov#wasDerivedFrom http://en.wikipedia.org/wiki/Netlist?oldid=1090603567&ns=0 +
http://xmlns.com/foaf/0.1/isPrimaryTopicOf http://en.wikipedia.org/wiki/Netlist +
owl:sameAs http://hy.dbpedia.org/resource/%D4%BF%D6%81%D5%B8%D6%82%D5%A9%D5%B5%D5%A1%D5%B6_%D6%81%D5%B8%D6%82%D6%81%D5%A1%D5%AF + , http://zh.dbpedia.org/resource/%E7%BD%91%E8%A1%A8 + , http://ar.dbpedia.org/resource/%D8%A7%D9%84%D9%82%D8%A7%D8%A6%D9%85%D8%A9_%D8%A7%D9%84%D8%B4%D8%A8%D9%83%D9%8A%D8%A9 + , http://rdf.freebase.com/ns/m.05kc7 + , http://dbpedia.org/resource/Netlist + , http://www.wikidata.org/entity/Q1760303 + , http://ru.dbpedia.org/resource/%D0%A1%D0%BF%D0%B8%D1%81%D0%BE%D0%BA_%D1%81%D0%BE%D0%B5%D0%B4%D0%B8%D0%BD%D0%B5%D0%BD%D0%B8%D0%B9 + , http://it.dbpedia.org/resource/Netlist + , https://global.dbpedia.org/id/iBZR + , http://de.dbpedia.org/resource/Netzliste + , http://et.dbpedia.org/resource/Ahelaloend + , http://fr.dbpedia.org/resource/Netlist +
rdf:type http://dbpedia.org/class/yago/Whole100003553 + , http://dbpedia.org/class/yago/ElectricalDevice103269401 + , http://dbpedia.org/class/yago/Object100002684 + , http://dbpedia.org/class/yago/PhysicalEntity100001930 + , http://dbpedia.org/class/yago/Device103183080 + , http://dbpedia.org/class/yago/WikicatElectronicCircuits + , http://dbpedia.org/class/yago/Circuit103033362 + , http://dbpedia.org/ontology/Stadium + , http://dbpedia.org/class/yago/Instrumentality103575240 + , http://dbpedia.org/class/yago/Artifact100021939 +
rdfs:comment 在电子设计自动化中,网表(英語:netlist),或称连线表,是指用基础的逻辑门来描在电子设计自动化中,网表(英語:netlist),或称连线表,是指用基础的逻辑门来描述数字电路连接情况的描述方式。由于逻辑门阵列有着连线表一样的排列外观,因此称之为“网表”。 网表通常传递了电路连接方面的信息,例如模块的实例、线网以及相关属性。如果需要包含更多的硬件信息,通常会使用硬件描述语言,例如Verilog、VHDL或其他的专用语言来进行描述、验证和仿真。高抽象层次(如寄存器传输级)的硬件描述可以通过逻辑综合转换为低抽象层次(逻辑门级)的电路连线网表,这一步骤目前可以使用自动化工具完成,这也大大降低了设计人员处理超大规模集成电路的繁琐程度。硬件厂商利用上述网表,可以制造具体的专用集成电路或其他电路。一些相对较小的电路也可以在现场可编程逻辑门阵列上实现。 根据不同的分类,网表可以是物理或逻辑的,也可以是基于实例或基于线网的,抑或是平面的或多层次的,等等。网表可以是物理或逻辑的,也可以是基于实例或基于线网的,抑或是平面的或多层次的,等等。 , Une netlist décrit un circuit électrique (Une netlist décrit un circuit électrique (e.g. circuits imprimés, circuits intégrés). Un circuit électrique de base est composé d’éléments électriques (capacitances, résistances, inductances) reliés par des fils conducteurs. Une netlist peut être composée de plusieurs sous-circuits, qui peuvent quant à eux, être instanciés dans d'autres circuits et/ou sous-circuits. Une netlist sert souvent comme point d'entrée d'un simulateur électrique et peut être écrite à la main, mais aussi obtenue à partir d'un outil d'extraction ou de synthèse.ir d'un outil d'extraction ou de synthèse. , La parola netlist è usata principalmente nLa parola netlist è usata principalmente nel campo della progettazione elettronica per indicare l'insieme delle connessioni (net) elettriche di un circuito elettronico, tipicamente riassunte in un file secondo vari formati. Salvo rari casi, la netlist viene generata automaticamente a partire da uno schema elettrico. Se la netlist contiene anche altre informazioni più approfondite, viene generalmente considerata come un (HDL) come ad esempio Verilog, VHDL, o uno dei vari linguaggi specifici per fornire i dati in ingresso a programmi di simulazione circuitale.sso a programmi di simulazione circuitale. , In electronic design, a netlist is a descrIn electronic design, a netlist is a description of the connectivity of an electronic circuit. In its simplest form, a netlist consists of a list of the electronic components in a circuit and a list of the nodes they are connected to. A network (net) is a collection of two or more interconnected components. of two or more interconnected components. , القائمة الشبكية هي توصيف لاتصال دائرة إلكترونية في التصميم الالكتروني، وتتكون في أبسط صورها من قائمة من المكونات الإلكترونية في دائرة مربوطة بقائمة من العقد، والشبكة ما هي إلا مجموعة من اثنين أو أكثر من العناصر المرتبطة ببعض. , Выражение список соединений (или список цеВыражение список соединений (или список цепей) — калька с термина англ. netlist имеет несколько значений. Список электрических соединений (при разработке электронных устройств) — текстовое представление информации (формат файла) о электрических соединениях, связывающих компоненты электронного устройства. Список соединений (при проектировании интегральных схем) — текстовое представление информации (формат файла) о соединениях модулей (логических элементов, блоков памяти и др.) интегральной схемы. Классификация списков соединений:й схемы. Классификация списков соединений: , Netzlisten sind im Bereich Elektronik-EntwNetzlisten sind im Bereich Elektronik-Entwurf (ECAD bzw. CAD-Entwurf von Leiterplatten, s. Leiterplattenentflechtung und Multi-Chip-Modulen/MCM) eine textuelle Beschreibung der elektrischen Verbindungen (Schaltplan) zwischen Bauelementen. Im IC-Design (Chipentwurf) handelt es sich um die Beschreibung der Verbindungen zwischen den auf dem Chip enthaltenen Modulen, wie beispielsweise Logikgatter oder Speicherblöcke. Das übliche textuelle Dateiformat für elektronische Netzlisten ist das so genannte Electronic Design Interchange Format (EDIF).ectronic Design Interchange Format (EDIF).
rdfs:label القائمة الشبكية , Netlist , 网表 , Netzliste , Список соединений
hide properties that link here 
http://dbpedia.org/resource/Net + http://dbpedia.org/ontology/wikiPageDisambiguates
http://dbpedia.org/resource/Net_%28electronics%29 + , http://dbpedia.org/resource/Back-annotation + , http://dbpedia.org/resource/Back_annotation + , http://dbpedia.org/resource/Backannotation + , http://dbpedia.org/resource/Net_%28circuits%29 + , http://dbpedia.org/resource/Netlists + http://dbpedia.org/ontology/wikiPageRedirects
http://dbpedia.org/resource/System_on_a_chip + , http://dbpedia.org/resource/Field-programmable_gate_array + , http://dbpedia.org/resource/Design_for_testing + , http://dbpedia.org/resource/Intel_8051 + , http://dbpedia.org/resource/Net_%28electronics%29 + , http://dbpedia.org/resource/Application-specific_integrated_circuit + , http://dbpedia.org/resource/Three-dimensional_integrated_circuit + , http://dbpedia.org/resource/Circuit_satisfiability_problem + , http://dbpedia.org/resource/Glossary_of_reconfigurable_computing + , http://dbpedia.org/resource/Layout_Versus_Schematic + , http://dbpedia.org/resource/Signoff_%28electronic_design_automation%29 + , http://dbpedia.org/resource/Differential-algebraic_system_of_equations + , http://dbpedia.org/resource/ETA10 + , http://dbpedia.org/resource/CircuitLogix + , http://dbpedia.org/resource/Placement_%28electronic_design_automation%29 + , http://dbpedia.org/resource/NOR_logic + , http://dbpedia.org/resource/JHDL + , http://dbpedia.org/resource/Atom_%28programming_language%29 + , http://dbpedia.org/resource/ARM_architecture_family + , http://dbpedia.org/resource/Spectre_Circuit_Simulator + , http://dbpedia.org/resource/Back-annotation + , http://dbpedia.org/resource/Back_annotation + , http://dbpedia.org/resource/Backannotation + , http://dbpedia.org/resource/Net_%28circuits%29 + , http://dbpedia.org/resource/Netlists + , http://dbpedia.org/resource/Circuit_diagram + , http://dbpedia.org/resource/Signal_integrity + , http://dbpedia.org/resource/Proteus_Design_Suite + , http://dbpedia.org/resource/Carl_Ebeling + , http://dbpedia.org/resource/Hardware_acceleration + , http://dbpedia.org/resource/Net + , http://dbpedia.org/resource/Semiconductor_intellectual_property_core + , http://dbpedia.org/resource/Reference_circuit + , http://dbpedia.org/resource/Compiler + , http://dbpedia.org/resource/Place_and_route + , http://dbpedia.org/resource/Hardware_description_language + , http://dbpedia.org/resource/Electronic_design_automation + , http://dbpedia.org/resource/Index_of_electronics_articles + , http://dbpedia.org/resource/LTspice + , http://dbpedia.org/resource/EDIF + , http://dbpedia.org/resource/SystemVerilog + , http://dbpedia.org/resource/SPICE + , http://dbpedia.org/resource/Verilog + , http://dbpedia.org/resource/V850 + , http://dbpedia.org/resource/List_of_file_formats + , http://dbpedia.org/resource/Delta_delay + , http://dbpedia.org/resource/CAD_navigation + , http://dbpedia.org/resource/Electrical_network + , http://dbpedia.org/resource/OrCAD + , http://dbpedia.org/resource/NanGate + , http://dbpedia.org/resource/GEDA + , http://dbpedia.org/resource/Circuit_extraction + , http://dbpedia.org/resource/Register-transfer_level + , http://dbpedia.org/resource/EVE/ZeBu + , http://dbpedia.org/resource/Silicon_compiler + , http://dbpedia.org/resource/Formal_equivalence_checking + , http://dbpedia.org/resource/Bus_functional_model + , http://dbpedia.org/resource/Schematic_editor + , http://dbpedia.org/resource/PCB_reverse_engineering + , http://dbpedia.org/resource/Standard_cell + http://dbpedia.org/ontology/wikiPageWikiLink
http://en.wikipedia.org/wiki/Netlist + http://xmlns.com/foaf/0.1/primaryTopic
http://dbpedia.org/resource/Netlist + owl:sameAs
 

 

Enter the name of the page to start semantic browsing from.