Browse Wiki & Semantic Web

Jump to: navigation, search
Http://dbpedia.org/resource/SystemC
  This page has no properties.
hide properties that link here 
  No properties link to this page.
 
http://dbpedia.org/resource/SystemC
http://dbpedia.org/ontology/abstract SystemC is a set of C++ classes and macrosSystemC is a set of C++ classes and macros which provide an event-driven simulation interface (see also discrete event simulation). These facilities enable a designer to simulate concurrent processes, each described using plain C++ syntax. SystemC processes can communicate in a simulated real-time environment, using signals of all the datatypes offered by C++, some additional ones offered by the SystemC library, as well as user defined. In certain respects, SystemC deliberately mimics the hardware description languages VHDL and Verilog, but is more aptly described as a system-level modeling language. SystemC is applied to system-level modeling, architectural exploration, performance modeling, software development, functional verification, and high-level synthesis. SystemC is often associated with electronic system-level (ESL) design, and with transaction-level modeling (TLM).and with transaction-level modeling (TLM). , SystemC — мова проектування і верифікації SystemC — мова проектування і верифікації моделей електронних пристроїв системного рівня, реалізована у вигляді C++ бібліотеки з відкритим вихідним кодом. Бібліотека включає в себе ядро ​​подієвого моделювання, що дозволяє отримати виконувану модель пристрою. Мова застосовується для побудови транзакційних і поведінкових моделей, а також для високорівневого синтезу. Мова SystemC використовує ряд понять, схожих з тими, які мають мови опису апаратури VHDL і Verilog: інтерфейси, процеси, сигнали, наповненості, ієрархія модулів. Стандарт SystemC не вносить обмеження на використання мови C++ при описі моделей систем. Розроблено чернетка стандарту на логічного синтез SystemC, метою якого є визначити підмножин мов С++ і SystemC, придатних для поведінкового і RTL синтезу.придатних для поведінкового і RTL синтезу. , SystemC — язык проектирования и верификациSystemC — язык проектирования и верификации моделей системного уровня, реализованный в виде C++ библиотеки с открытым исходным кодом. Библиотека включает в себя ядро событийного моделирования, что позволяет получить исполняемую модель устройства. Язык применяется для построения транзакционных и поведенческих моделей, а также для высокоуровневого синтеза. Язык SystemC использует ряд понятий, схожих с теми, которые имеют языки описания аппаратуры VHDL и Verilog: интерфейсы, процессы, сигналы, событийность, иерархия модулей. Стандарт SystemC не вносит ограничения на использование языка C++ при описании моделей систем. Разработан черновик стандарта на синтез SystemC, целью которого является определить подмножество языков C++ и SystemC, пригодное для поведенческого и RTL синтеза.ригодное для поведенческого и RTL синтеза. , SystemC è un tipo di linguaggio di descrizSystemC è un tipo di linguaggio di descrizione hardware, simile al VHDL o al Verilog, utilizzato nella descrizione dei sistemi, poiché mostra la sua potenza di funzionamento con modelli a livello transazionale e comportamentali, nei quali il grado di dettaglio dell'andamento nel tempo dei segnali di uscita viene tralasciato in favore di una più concisa rappresentazione della descrizione della funzione richiesta.ella descrizione della funzione richiesta. , SystemC es frecuentemente descrito como unSystemC es frecuentemente descrito como un lenguaje de descripción de hardware como son VHDL y Verilog, pero es más adecuado describirlo como un lenguaje de descripción de sistemas, puesto que es realmente útil cuando se usa para modelar sistemas a nivel de comportamiento.odelar sistemas a nivel de comportamiento. , SystemC(システムシー)は、電子回路機器の機能設計への使用を目的としたハードウェア記述言語 (HDL) の一種である。SystemC登場以前より存在し、純然たるHDLであるVerilogやVHDLに比べ、動作レベルモデリングなど、よりシステム寄りの記述言語である。 , SystemC是一种基于C++语言的用于系统设计的计算机语言,是用C++编写的一组库SystemC是一种基于C++语言的用于系统设计的计算机语言,是用C++编写的一组库和宏。它是为了提高电子系统设计效率而逐渐发展起来的产物。IEEE于2005年12月批准了IEEE1666-2005标准。 通常,系统由软件部分和硬件部分组成,系统的一部分功能由软件实现,而另一部分功能则由硬件实现。早期的系统比较简单,系统工程师将准备设计的系统划分为软件部分和硬件部分,分别由软件工程师和硬件工程师进行设计、仿真、实现和改进,最后再将软件部分和硬件部分结合起来形成系统。软件工程师使用C和C++等程序设计语言,因为这些语言专长于描述串行执行的程序,用来仿真软件部分;而则使用VHDL和Verilog等硬件描述语言,因为这些语言专长于描述并行运行的硬件,用来仿真硬件部分。但是,随着电子系统的不断发展,系统结构越来越复杂,系统元件也越来越多,这就要求系统工程师在先期划分软件和硬件时,就对整个系统性能有很好的了解和掌握,以便更好地划分软件和硬件,减小设计中不必要的失误所带来的损失和风险。SystemC也就由此孕育而生,因为它能够满足对软件和硬件协同仿真的需求。 SystemC的名称来自“系统”一词的英语System和“C/C++语言”中的C,以表示它是一种基于C/C++语言的系统设计语言。 许多科学研究团队和计算机辅助设计软件公司一同为SystemC的发展做出了贡献,1999年成立了开源SystemC的开发团队“Open SystemC Initiative(OSCI)”。 2011年11月10,IEEE通过了新SystemC 2011标准:IEEE1666-2011。1月10,IEEE通过了新SystemC 2011标准:IEEE1666-2011。 , SystemC est un langage de programmation coSystemC est un langage de programmation compatible avec C++, pour la conception, la modélisation et la vérification au niveau système d'un circuit logique. Ce n'est pas un langage de description de matériel (HDL), mais un complément au niveau supérieur pour sa simulation. Son standard est standardisé et ratifié par lOpen SystemC Initiative (OSCI) aet Accellera Systems Initiative sous la norme IEEE Std. 1666-2011.tiative sous la norme IEEE Std. 1666-2011. , SystemC ist eine Modellierungs- und insbesSystemC ist eine Modellierungs- und insbesondere für die Entwicklung von komplexen elektronischen Systemen, die sowohl Hardware- als auch Softwarekomponenten umfassen. Im Gegensatz zu reinen Hardwarebeschreibungssprachen, wie VHDL und Verilog-HDL, handelt es sich bei SystemC um keine eigene Programmiersprache, sondern um eine C++-Klassenbibliothek. Sie ist in dem aktuellen IEEE-Standard 1666-2011 definiert. Außerdem steht eine quelloffene Implementierung des Standards, unter der Apache 2.0 Lizenz zur Verfügung. Als Klassenbibliothek erweitert SystemC C++ um Sprachelemente, die der Hardware-Modellierung dienen. Gleichzeitig verfügt die Bibliothek über einen Simulatorkern, sodass sich mit SystemC beschriebene Modelle ausführen und testen lassen. SystemC wird vorrangig zur Modellierung auf höheren Abstraktionsebenen, z. B. für eingesetzt. Damit eignet sich SystemC insbesondere für , wo die frühzeitige Bereitstellung eines Virtuellen Prototypen zur Evaluation von Entwurfsalternativen, von hoher Bedeutung ist. Klassische RTL-Entwürfe wären hier zu komplex und unflexibel. Ein weiterer Vorteil von SystemC ist nicht nur die schnelle Entwicklung von Prototypen, sondern auch die auf höheren Abstraktionsebenen deutlich verbesserte Simulationsleistung. In SystemC entworfene Modelle auf Transanktionsebene, können eine um ein rund tausendfaches schnellere Simulationsleistung aufweisen als RTL-Modelle. Somit können auch komplexere Programme mitsimuliert werden und Entwurfsalternativen bezüglich der Partitionierung von Hard- und Software-Komponenten abgewägt werden. Aber auch die Modellierung von synthetisierbaren Schaltungen auf Registertransferebene sind mit SystemC als Substitut für VHDL oder Verilog möglich. Da es sich bei SystemC um keine eigenständige Sprache, sondern eine reine (Klassen-)Bibliothek für C++ handelt, müssen alle typischen Sprachelemente herkömmlicher Hardwarebeschreibungssprachen auf einfache C++-Sprachkonstrukte abgebildet werden. Dies bringt SystemC den Nachteil eines syntaktischen Overheads ein, den herkömmliche Hardwarebeschreibungssprachen nicht haben. Die Bereitstellung einer Vielzahl von Präprozessor-Makros hilft dabei, diesen Effekt etwas einzudämmen. Dafür ist der Entwickler deutlich freier im Ausdruck, was jedoch in der Regel mit der Synthetisierbarkeit des Hardware-Modells im Konflikt steht. SystemC eignet sich, wie z. B. auch die Modellierungssprache , für die Modellierung von Protokollen und Peripherie, um anhand dieser die Fehlerfreiheit einer digitalen Schaltung zu überprüfen. SystemC ist jedoch nicht nur eine Modellierungssprache, sondern gleichzeitig ihr eigener Simulationskern. Dieser ist in der SystemC-Bibliothek enthalten (Bsp.: in jeder Referenzimplementierung der OSCI), sodass durch Kompilieren eines System-Quellcodes ein ausführbarer Simulator mit dem Verhalten des Quellcodes entsteht. Jedoch wird SystemC auch von kommerziellen Simulationstools wie unterstützt. Viele Universitäten arbeiten an effizienten Programmen zur Schaltungssynthese aus SystemC-Modellen heraus. Einige Unternehmen bieten Lösungen an, die aus bestimmten SystemC-Codes Netzlisten für ASICs oder FPGAs generieren können. Im Jahr 2005 wurde die Version 2.1 der SystemC-Referenzbeschreibung von der internationalen Ingenieursvereinigung IEEE als Standard IEEE 1666-2005 ratifiziert, welcher 2012 durch 1666-2011 abgelöst wurde. Dieser Standard stellt das aktuelle LRM (Language Reference Manual) dar und ist bei der IEEE kostenlos als Download verfügbar (siehe Weblinks).Im Jahr 2007 wurde die Open-Source-Referenzimplentierung der OSCI (Open SystemC Initiative) auf Version 2.2 aktualisiert um vollständig mit dem IEEE 1666 LRM konform zu sein. Im Jahr 2016 wurde die Analog Mixed-Signal Erweiterung SystemC AMS als Standard ratifiziert (IEEE 1666.1-2016). Eine Open-Source-Referenzimplentierung ist kostenlos als Download verfügbar (siehe Weblinks).s als Download verfügbar (siehe Weblinks). , SystemC jest . Na niższych poziomach opisuSystemC jest . Na niższych poziomach opisu jest wzorowany na popularnych językach opisu sprzętu (VHDL, Verilog); wyższe poziomy opisu pozwalają używać prawie wszystkie zasoby języka C++.SystemC jest stosowany do modelowania z poziomu systemu (system-level modeling), modelowania wydajności, rozwoju oprogramowania, weryfikacji funkcjonalności. Powiązany jest on zarówno z projektowaniem ESL jak i TLM. Pozwala symulować (odtwarzać fikcyjne) zdarzenia w jądrze. Te udogodnienia pozwalają projektantowi na symulację aktualnych procesów, z których każdy opisany jest przy pomocy prostej składni języka C++.st przy pomocy prostej składni języka C++.
http://dbpedia.org/ontology/wikiPageExternalLink http://www.nascug.org + , http://www.embedded.uni-tuebingen.de/ESCUG.escug.0.html + , https://www.drdobbs.com/cpp/systemc-hardware-oriented-constructs-in/184401897/ + , http://www.lascug.org + , https://accellera.org/community/systemc + , http://sclive.wordpress.com/ + , http://www.edaplayground.com + , http://dvcon-india.org + , http://www.asic-world.com/systemc/tutorial.html +
http://dbpedia.org/ontology/wikiPageID 1038989
http://dbpedia.org/ontology/wikiPageLength 11816
http://dbpedia.org/ontology/wikiPageRevisionID 1099828163
http://dbpedia.org/ontology/wikiPageWikiLink http://dbpedia.org/resource/SpecC + , http://dbpedia.org/resource/Accellera + , http://dbpedia.org/resource/Scientific_modelling + , http://dbpedia.org/resource/Category:C%2B%2B_programming_language_family + , http://dbpedia.org/resource/Four-valued_logic + , http://dbpedia.org/resource/SystemVerilog + , http://dbpedia.org/resource/Electronic_system-level + , http://dbpedia.org/resource/Forte_Design_Systems + , http://dbpedia.org/resource/Synopsys + , http://dbpedia.org/resource/Datatype + , http://dbpedia.org/resource/Category:System_description_languages + , http://dbpedia.org/resource/Category:Hardware_verification_languages + , http://dbpedia.org/resource/Software_development + , http://dbpedia.org/resource/Syntax + , http://dbpedia.org/resource/Verilog + , http://dbpedia.org/resource/Bus_%28computing%29 + , http://dbpedia.org/resource/Powersim + , http://dbpedia.org/resource/SystemC_AMS + , http://dbpedia.org/resource/Register_transfer_level + , http://dbpedia.org/resource/Open_SystemC_Initiative + , http://dbpedia.org/resource/IDEA1 + , http://dbpedia.org/resource/Category:Hardware_description_languages + , http://dbpedia.org/resource/Event-driven_programming + , http://dbpedia.org/resource/Chisel_%28programming_language%29 + , http://dbpedia.org/resource/High-level_synthesis + , http://dbpedia.org/resource/Adder_%28electronics%29 + , http://dbpedia.org/resource/VHDL + , http://dbpedia.org/resource/Functional_verification + , http://dbpedia.org/resource/CoWare + , http://dbpedia.org/resource/Transaction-level_modeling + , http://dbpedia.org/resource/C%2B%2B + , http://dbpedia.org/resource/FIFO_%28computing_and_electronics%29 + , http://dbpedia.org/resource/Object-oriented_programming + , http://dbpedia.org/resource/SystemRDL + , http://dbpedia.org/resource/UC_Irvine + , http://dbpedia.org/resource/Concurrent_process + , http://dbpedia.org/resource/Discrete_event_simulation + , http://dbpedia.org/resource/Hardware_description_language + , http://dbpedia.org/resource/ARM_Holdings +
http://dbpedia.org/property/wikiPageUsesTemplate http://dbpedia.org/resource/Template:Which + , http://dbpedia.org/resource/Template:ISBN + , http://dbpedia.org/resource/Template:Use_American_English + , http://dbpedia.org/resource/Template:Programmable_Logic + , http://dbpedia.org/resource/Template:Use_mdy_dates + , http://dbpedia.org/resource/Template:Short_description + , http://dbpedia.org/resource/Template:Code + , http://dbpedia.org/resource/Template:Reflist + , http://dbpedia.org/resource/Template:Cite_book + , http://dbpedia.org/resource/Template:For + , http://dbpedia.org/resource/Template:IEEE_standards + , http://dbpedia.org/resource/Template:Citation_needed +
http://purl.org/dc/terms/subject http://dbpedia.org/resource/Category:Hardware_description_languages + , http://dbpedia.org/resource/Category:C%2B%2B_programming_language_family + , http://dbpedia.org/resource/Category:System_description_languages + , http://dbpedia.org/resource/Category:Hardware_verification_languages +
http://purl.org/linguistics/gold/hypernym http://dbpedia.org/resource/Set +
http://www.w3.org/ns/prov#wasDerivedFrom http://en.wikipedia.org/wiki/SystemC?oldid=1099828163&ns=0 +
http://xmlns.com/foaf/0.1/isPrimaryTopicOf http://en.wikipedia.org/wiki/SystemC +
owl:sameAs http://fi.dbpedia.org/resource/SystemC + , http://pl.dbpedia.org/resource/SystemC + , http://ru.dbpedia.org/resource/SystemC + , http://fr.dbpedia.org/resource/SystemC + , http://zh.dbpedia.org/resource/SystemC + , http://yago-knowledge.org/resource/System_C + , http://de.dbpedia.org/resource/SystemC + , http://ro.dbpedia.org/resource/SystemC + , http://yago-knowledge.org/resource/SystemC + , http://es.dbpedia.org/resource/SystemC + , https://global.dbpedia.org/id/hoKz + , http://ja.dbpedia.org/resource/SystemC + , http://it.dbpedia.org/resource/SystemC + , http://rdf.freebase.com/ns/m.040lj9 + , http://www.wikidata.org/entity/Q1753563 + , http://dbpedia.org/resource/SystemC + , http://uk.dbpedia.org/resource/SystemC +
rdf:type http://dbpedia.org/class/yago/ArtificialLanguage106894544 + , http://dbpedia.org/class/yago/WikicatComputerLanguages + , http://dbpedia.org/class/yago/ComputerLanguage106899633 + , http://dbpedia.org/class/yago/Communication100033020 + , http://dbpedia.org/class/yago/ProgrammingLanguage106898352 + , http://dbpedia.org/class/yago/Language106282651 + , http://dbpedia.org/class/yago/Abstraction100002137 + , http://dbpedia.org/class/yago/WikicatHardwareVerificationLanguages + , http://dbpedia.org/class/yago/WikicatSystemDescriptionLanguages + , http://dbpedia.org/class/yago/WikicatHardwareDescriptionLanguages +
rdfs:comment SystemC — язык проектирования и верификациSystemC — язык проектирования и верификации моделей системного уровня, реализованный в виде C++ библиотеки с открытым исходным кодом. Библиотека включает в себя ядро событийного моделирования, что позволяет получить исполняемую модель устройства. Язык применяется для построения транзакционных и поведенческих моделей, а также для высокоуровневого синтеза. Разработан черновик стандарта на синтез SystemC, целью которого является определить подмножество языков C++ и SystemC, пригодное для поведенческого и RTL синтеза.ригодное для поведенческого и RTL синтеза. , SystemC is a set of C++ classes and macrosSystemC is a set of C++ classes and macros which provide an event-driven simulation interface (see also discrete event simulation). These facilities enable a designer to simulate concurrent processes, each described using plain C++ syntax. SystemC processes can communicate in a simulated real-time environment, using signals of all the datatypes offered by C++, some additional ones offered by the SystemC library, as well as user defined. In certain respects, SystemC deliberately mimics the hardware description languages VHDL and Verilog, but is more aptly described as a system-level modeling language.ribed as a system-level modeling language. , SystemC jest . Na niższych poziomach opisuSystemC jest . Na niższych poziomach opisu jest wzorowany na popularnych językach opisu sprzętu (VHDL, Verilog); wyższe poziomy opisu pozwalają używać prawie wszystkie zasoby języka C++.SystemC jest stosowany do modelowania z poziomu systemu (system-level modeling), modelowania wydajności, rozwoju oprogramowania, weryfikacji funkcjonalności. Powiązany jest on zarówno z projektowaniem ESL jak i TLM.on zarówno z projektowaniem ESL jak i TLM. , SystemC è un tipo di linguaggio di descrizSystemC è un tipo di linguaggio di descrizione hardware, simile al VHDL o al Verilog, utilizzato nella descrizione dei sistemi, poiché mostra la sua potenza di funzionamento con modelli a livello transazionale e comportamentali, nei quali il grado di dettaglio dell'andamento nel tempo dei segnali di uscita viene tralasciato in favore di una più concisa rappresentazione della descrizione della funzione richiesta.ella descrizione della funzione richiesta. , SystemC est un langage de programmation coSystemC est un langage de programmation compatible avec C++, pour la conception, la modélisation et la vérification au niveau système d'un circuit logique. Ce n'est pas un langage de description de matériel (HDL), mais un complément au niveau supérieur pour sa simulation. Son standard est standardisé et ratifié par lOpen SystemC Initiative (OSCI) aet Accellera Systems Initiative sous la norme IEEE Std. 1666-2011.tiative sous la norme IEEE Std. 1666-2011. , SystemC es frecuentemente descrito como unSystemC es frecuentemente descrito como un lenguaje de descripción de hardware como son VHDL y Verilog, pero es más adecuado describirlo como un lenguaje de descripción de sistemas, puesto que es realmente útil cuando se usa para modelar sistemas a nivel de comportamiento.odelar sistemas a nivel de comportamiento. , SystemC是一种基于C++语言的用于系统设计的计算机语言,是用C++编写的一组库SystemC是一种基于C++语言的用于系统设计的计算机语言,是用C++编写的一组库和宏。它是为了提高电子系统设计效率而逐渐发展起来的产物。IEEE于2005年12月批准了IEEE1666-2005标准。 通常,系统由软件部分和硬件部分组成,系统的一部分功能由软件实现,而另一部分功能则由硬件实现。早期的系统比较简单,系统工程师将准备设计的系统划分为软件部分和硬件部分,分别由软件工程师和硬件工程师进行设计、仿真、实现和改进,最后再将软件部分和硬件部分结合起来形成系统。软件工程师使用C和C++等程序设计语言,因为这些语言专长于描述串行执行的程序,用来仿真软件部分;而则使用VHDL和Verilog等硬件描述语言,因为这些语言专长于描述并行运行的硬件,用来仿真硬件部分。但是,随着电子系统的不断发展,系统结构越来越复杂,系统元件也越来越多,这就要求系统工程师在先期划分软件和硬件时,就对整个系统性能有很好的了解和掌握,以便更好地划分软件和硬件,减小设计中不必要的失误所带来的损失和风险。SystemC也就由此孕育而生,因为它能够满足对软件和硬件协同仿真的需求。 SystemC的名称来自“系统”一词的英语System和“C/C++语言”中的C,以表示它是一种基于C/C++语言的系统设计语言。 2011年11月10,IEEE通过了新SystemC 2011标准:IEEE1666-2011。1月10,IEEE通过了新SystemC 2011标准:IEEE1666-2011。 , SystemC ist eine Modellierungs- und insbesSystemC ist eine Modellierungs- und insbesondere für die Entwicklung von komplexen elektronischen Systemen, die sowohl Hardware- als auch Softwarekomponenten umfassen. Im Gegensatz zu reinen Hardwarebeschreibungssprachen, wie VHDL und Verilog-HDL, handelt es sich bei SystemC um keine eigene Programmiersprache, sondern um eine C++-Klassenbibliothek. Sie ist in dem aktuellen IEEE-Standard 1666-2011 definiert. Außerdem steht eine quelloffene Implementierung des Standards, unter der Apache 2.0 Lizenz zur Verfügung. Als Klassenbibliothek erweitert SystemC C++ um Sprachelemente, die der Hardware-Modellierung dienen. Gleichzeitig verfügt die Bibliothek über einen Simulatorkern, sodass sich mit SystemC beschriebene Modelle ausführen und testen lassen.ebene Modelle ausführen und testen lassen. , SystemC(システムシー)は、電子回路機器の機能設計への使用を目的としたハードウェア記述言語 (HDL) の一種である。SystemC登場以前より存在し、純然たるHDLであるVerilogやVHDLに比べ、動作レベルモデリングなど、よりシステム寄りの記述言語である。 , SystemC — мова проектування і верифікації SystemC — мова проектування і верифікації моделей електронних пристроїв системного рівня, реалізована у вигляді C++ бібліотеки з відкритим вихідним кодом. Бібліотека включає в себе ядро ​​подієвого моделювання, що дозволяє отримати виконувану модель пристрою. Мова застосовується для побудови транзакційних і поведінкових моделей, а також для високорівневого синтезу. Розроблено чернетка стандарту на логічного синтез SystemC, метою якого є визначити підмножин мов С++ і SystemC, придатних для поведінкового і RTL синтезу.придатних для поведінкового і RTL синтезу.
rdfs:label SystemC
hide properties that link here 
http://dbpedia.org/resource/Systemc + http://dbpedia.org/ontology/wikiPageRedirects
http://dbpedia.org/resource/OpenRISC + , http://dbpedia.org/resource/VHDL + , http://dbpedia.org/resource/System_on_a_chip + , http://dbpedia.org/resource/List_of_discrete_event_simulation_software + , http://dbpedia.org/resource/ModelSim + , http://dbpedia.org/resource/Chisel_%28programming_language%29 + , http://dbpedia.org/resource/Flow_to_HDL + , http://dbpedia.org/resource/SystemVerilog_DPI + , http://dbpedia.org/resource/Verilator + , http://dbpedia.org/resource/MLDesigner + , http://dbpedia.org/resource/Aldec + , http://dbpedia.org/resource/OVPsim + , http://dbpedia.org/resource/VisualSim_Architect + , http://dbpedia.org/resource/Design_Automation_Standards_Committee + , http://dbpedia.org/resource/SystemRDL + , http://dbpedia.org/resource/High-level_verification + , http://dbpedia.org/resource/Property_Specification_Language + , http://dbpedia.org/resource/Rosetta-lang + , http://dbpedia.org/resource/FPGA_prototyping + , http://dbpedia.org/resource/High-level_synthesis + , http://dbpedia.org/resource/EVE/ZeBu + , http://dbpedia.org/resource/Comparison_of_EDA_software + , http://dbpedia.org/resource/OpenCores + , http://dbpedia.org/resource/NCSim + , http://dbpedia.org/resource/Dataflow_programming + , http://dbpedia.org/resource/Cadence_Design_Systems + , http://dbpedia.org/resource/Verilog + , http://dbpedia.org/resource/Electronic_system-level_design_and_verification + , http://dbpedia.org/resource/LISA_%28Language_for_Instruction_Set_Architecture%29 + , http://dbpedia.org/resource/SystemVerilog + , http://dbpedia.org/resource/Open_Verification_Library + , http://dbpedia.org/resource/Transaction-level_modeling + , http://dbpedia.org/resource/IDEA1 + , http://dbpedia.org/resource/List_of_programming_languages_by_type + , http://dbpedia.org/resource/Xilinx_Vivado + , http://dbpedia.org/resource/Accellera + , http://dbpedia.org/resource/Hardware_description_language + , http://dbpedia.org/resource/Parallel_computing + , http://dbpedia.org/resource/Processor_design + , http://dbpedia.org/resource/Integrated_circuit_design + , http://dbpedia.org/resource/C_Level_Design + , http://dbpedia.org/resource/Rajesh_K._Gupta + , http://dbpedia.org/resource/SpecC + , http://dbpedia.org/resource/Bus_functional_model + , http://dbpedia.org/resource/C_to_HDL + , http://dbpedia.org/resource/List_of_concurrent_and_parallel_programming_languages + , http://dbpedia.org/resource/Catapult_C + , http://dbpedia.org/resource/Systemc + , http://dbpedia.org/resource/Hardware_verification_language + , http://dbpedia.org/resource/Formal_equivalence_checking + , http://dbpedia.org/resource/JEDA_Technologies + , http://dbpedia.org/resource/Forte_Design_Systems + , http://dbpedia.org/resource/CoFluent_Design + , http://dbpedia.org/resource/List_of_HDL_simulators + , http://dbpedia.org/resource/SystemC_AMS + http://dbpedia.org/ontology/wikiPageWikiLink
http://en.wikipedia.org/wiki/SystemC + http://xmlns.com/foaf/0.1/primaryTopic
http://dbpedia.org/resource/SystemC + owl:sameAs
 

 

Enter the name of the page to start semantic browsing from.