Browse Wiki & Semantic Web

Jump to: navigation, search
Http://dbpedia.org/resource/Icarus Verilog
  This page has no properties.
hide properties that link here 
  No properties link to this page.
 
http://dbpedia.org/resource/Icarus_Verilog
http://dbpedia.org/ontology/abstract Icarus Verilog — компилятор языка описанияIcarus Verilog — компилятор языка описания аппаратуры Verilog. Он поддерживает версии 1995, 2001 и 2005, частично SystemVerilog и некоторые расширения. Используется для симуляции и верификации проектов. Кроме того, в версиях с 0.2 по 0.8 мог использоваться для синтеза (в формат XNF), для ПЛИС Xilinx. Icarus Verilog доступен для OpenSolaris x86, FreeBSD, Linux, AIX, Windows и Mac OS X. Выпускается под лицензией GNU General Public License. Версии Icarus 0.9 включает iverilog — компилятор Verilog с препроцессором и плагинами и виртуальную машину vvp для симуляции.vvp поддерживает интерфейс Verilog VPI (Verilog PLI 2.0) для интеграции с программами на языке Си. В 2004 году являлся одним из 10 наиболее популярных симуляторов для Verilog.иболее популярных симуляторов для Verilog. , Icarus Verilog is an implementation of theIcarus Verilog is an implementation of the Verilog hardware description language compiler that generates netlists in the desired format (EDIF). It supports the 1995, 2001 and 2005 versions of the standard, portions of SystemVerilog, and some extensions. Icarus Verilog is available for Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X. Released under the GNU General Public License, Icarus Verilog is free software. As of release 0.9, Icarus is composed of a Verilog compiler (including a Verilog preprocessor) with support for plug-in backends, and a virtual machine that simulates the design. Release v10.0, besides general improvements and bug fixes, added preliminary support for VHDL, but the VHDL support has been abandoned as of 2018.HDL support has been abandoned as of 2018. , Icarus Verilog是Verilog硬件描述语言的实现工具之一。它支持VerIcarus Verilog是Verilog硬件描述语言的实现工具之一。它支持Verilog对应的的IEEE 1995、IEEE 2001和IEEE 2005三个不同的版本,并对SystemVerilog的部分内容提供支持。 Icarus Verilog可以配置在Linux、FreeBSD、OpenSolaris、AIX、Microsoft Windows以及OS X环境中。该软件以GNU通用公共许可协议发布,是一个自由软件。 截止到0.9版,该工具提供了Verilog编译器(包含一个Verilog预处理器),并支持可插入后端(plug-in backend),并通过一个虚拟机来对设计进行仿真。支持可插入后端(plug-in backend),并通过一个虚拟机来对设计进行仿真。 , Icarus Verilog — компілятор мови опису апаIcarus Verilog — компілятор мови опису апаратури Verilog, призначений для симуляції та веріфікації. Програма має можливість підключення модулів розширення симуляції та кодогенерації. Симуляція виконується віртуальною машиною. Результати симуляції записуються у стандартному форматі (англ. Value Change Dump — дамп зміни значень), для перегляду результатів симуляції у вигляді графіків сигналів необхідна відповідна програма, наприклад, GTKWave. Програма є вільною й розповсюджується під ліцензією GPL, частина файлів коду програми має ліцензію MIT Icarus Verilog працює в операційних системах GNU/Linux, Windows, FreeBSD, Mac OS X та OpenSolaris.Windows, FreeBSD, Mac OS X та OpenSolaris. , Icarus Verilog(イカルス・ヴェリログ) はオープンソースの VerilIcarus Verilog(イカルス・ヴェリログ) はオープンソースの Verilog シミュレータ。Verilog 1995, 2001, SystemVerilog 2005 をサポートしている。 対応OSはLinux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, と Mac OS X。GNU General Public Licenseでリリースされている。 プラグインサポートのある Verilog コンパイラとプリプロセッサ、それを実行するバーチャルマシンから構成されている。og コンパイラとプリプロセッサ、それを実行するバーチャルマシンから構成されている。
http://dbpedia.org/ontology/computingPlatform http://dbpedia.org/resource/Cross-platform +
http://dbpedia.org/ontology/genre http://dbpedia.org/resource/Verilog +
http://dbpedia.org/ontology/latestReleaseDate "2020-10-12"^^xsd:date
http://dbpedia.org/ontology/latestReleaseVersion 11.0
http://dbpedia.org/ontology/license http://dbpedia.org/resource/GNU_General_Public_License +
http://dbpedia.org/ontology/operatingSystem http://dbpedia.org/resource/OpenSolaris + , http://dbpedia.org/resource/Microsoft_Windows + , http://dbpedia.org/resource/FreeBSD + , http://dbpedia.org/resource/Linux + , http://dbpedia.org/resource/Mac_OS_X + , http://dbpedia.org/resource/AIX_operating_system +
http://dbpedia.org/ontology/thumbnail http://commons.wikimedia.org/wiki/Special:FilePath/Icarus_Verilog_logo2.png?width=300 +
http://dbpedia.org/ontology/wikiPageExternalLink https://github.com/steveicarus/iverilog/ + , http://iverilog.icarus.com + , https://sourceforge.net/p/iverilog/mailman/message/36359881/ + , http://iverilog.wikia.com + , http://bleyer.org/icarus + , http://www.linuxjournal.com/article/4428 + , http://www.linuxjournal.com/article/6001 + , http://sourceforge.net/projects/iverilog + , https://web.archive.org/web/20170930154314/http:/iverilog.com/ + , http://www.edaplayground.com + , http://iverilog.icarus.com/ +
http://dbpedia.org/ontology/wikiPageID 8800859
http://dbpedia.org/ontology/wikiPageLength 3017
http://dbpedia.org/ontology/wikiPageRevisionID 1124814067
http://dbpedia.org/ontology/wikiPageWikiLink http://dbpedia.org/resource/VHDL + , http://dbpedia.org/resource/OpenSolaris + , http://dbpedia.org/resource/Category:Free_electronic_design_automation_software + , http://dbpedia.org/resource/Category:Electronic_design_automation_software_for_Linux + , http://dbpedia.org/resource/FreeBSD + , http://dbpedia.org/resource/Concurrent_Versions_System + , http://dbpedia.org/resource/Free_software + , http://dbpedia.org/resource/Comparison_of_EDA_software + , http://dbpedia.org/resource/Verilog + , http://dbpedia.org/resource/GNU_General_Public_License + , http://dbpedia.org/resource/Mac_OS_X + , http://dbpedia.org/resource/Netlists + , http://dbpedia.org/resource/EDIF + , http://dbpedia.org/resource/SystemVerilog + , http://dbpedia.org/resource/Linux + , http://dbpedia.org/resource/Simulator + , http://dbpedia.org/resource/Cross-platform + , http://dbpedia.org/resource/AIX_operating_system + , http://dbpedia.org/resource/Microsoft_Windows +
http://dbpedia.org/property/developer Stephen Williams
http://dbpedia.org/property/genre http://dbpedia.org/resource/Simulator + , http://dbpedia.org/resource/Verilog +
http://dbpedia.org/property/language English
http://dbpedia.org/property/latestReleaseDate "2020-10-12"^^xsd:date
http://dbpedia.org/property/latestReleaseVersion 11
http://dbpedia.org/property/license http://dbpedia.org/resource/GNU_General_Public_License +
http://dbpedia.org/property/logo Icarus Verilog logo2.png
http://dbpedia.org/property/logoSize 150
http://dbpedia.org/property/name Icarus Verilog
http://dbpedia.org/property/operatingSystem http://dbpedia.org/resource/Mac_OS_X + , Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and
http://dbpedia.org/property/platform http://dbpedia.org/resource/Cross-platform +
http://dbpedia.org/property/programmingLanguage C++
http://dbpedia.org/property/website http://iverilog.icarus.com/ +
http://dbpedia.org/property/wikiPageUsesTemplate http://dbpedia.org/resource/Template:CAD-software-stub + , http://dbpedia.org/resource/Template:Infobox_software + , http://dbpedia.org/resource/Template:Start_date_and_age + , http://dbpedia.org/resource/Template:Portal + , http://dbpedia.org/resource/Template:Programmable_Logic +
http://dbpedia.org/property/wordnet type http://www.w3.org/2006/03/wn/wn20/instances/synset-software-noun-1 +
http://purl.org/dc/terms/subject http://dbpedia.org/resource/Category:Free_electronic_design_automation_software + , http://dbpedia.org/resource/Category:Electronic_design_automation_software_for_Linux +
http://purl.org/linguistics/gold/hypernym http://dbpedia.org/resource/Implementation +
http://www.w3.org/ns/prov#wasDerivedFrom http://en.wikipedia.org/wiki/Icarus_Verilog?oldid=1124814067&ns=0 +
http://xmlns.com/foaf/0.1/depiction http://commons.wikimedia.org/wiki/Special:FilePath/Icarus_Verilog_logo2.png +
http://xmlns.com/foaf/0.1/homepage http://iverilog.icarus.com/ +
http://xmlns.com/foaf/0.1/isPrimaryTopicOf http://en.wikipedia.org/wiki/Icarus_Verilog +
http://xmlns.com/foaf/0.1/name Icarus Verilog
owl:sameAs http://uk.dbpedia.org/resource/Icarus_Verilog + , http://rdf.freebase.com/ns/m.027k5d8 + , https://global.dbpedia.org/id/xaEw + , http://www.wikidata.org/entity/Q2071661 + , http://ru.dbpedia.org/resource/Icarus_Verilog + , http://dbpedia.org/resource/Icarus_Verilog + , http://zh.dbpedia.org/resource/Icarus_Verilog + , http://ja.dbpedia.org/resource/Icarus_Verilog +
rdf:type http://dbpedia.org/class/yago/Writing106359877 + , http://dbpedia.org/class/yago/Code106355894 + , http://www.wikidata.org/entity/Q7397 + , http://dbpedia.org/class/yago/Software106566077 + , http://schema.org/CreativeWork + , http://www.wikidata.org/entity/Q386724 + , http://dbpedia.org/ontology/Work + , http://dbpedia.org/ontology/Software + , http://dbpedia.org/class/yago/Abstraction100002137 + , http://dbpedia.org/class/yago/WrittenCommunication106349220 + , http://dbpedia.org/class/yago/CodingSystem106353757 + , http://dbpedia.org/class/yago/Communication100033020 +
rdfs:comment Icarus Verilog是Verilog硬件描述语言的实现工具之一。它支持VerIcarus Verilog是Verilog硬件描述语言的实现工具之一。它支持Verilog对应的的IEEE 1995、IEEE 2001和IEEE 2005三个不同的版本,并对SystemVerilog的部分内容提供支持。 Icarus Verilog可以配置在Linux、FreeBSD、OpenSolaris、AIX、Microsoft Windows以及OS X环境中。该软件以GNU通用公共许可协议发布,是一个自由软件。 截止到0.9版,该工具提供了Verilog编译器(包含一个Verilog预处理器),并支持可插入后端(plug-in backend),并通过一个虚拟机来对设计进行仿真。支持可插入后端(plug-in backend),并通过一个虚拟机来对设计进行仿真。 , Icarus Verilog(イカルス・ヴェリログ) はオープンソースの VerilIcarus Verilog(イカルス・ヴェリログ) はオープンソースの Verilog シミュレータ。Verilog 1995, 2001, SystemVerilog 2005 をサポートしている。 対応OSはLinux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, と Mac OS X。GNU General Public Licenseでリリースされている。 プラグインサポートのある Verilog コンパイラとプリプロセッサ、それを実行するバーチャルマシンから構成されている。og コンパイラとプリプロセッサ、それを実行するバーチャルマシンから構成されている。 , Icarus Verilog — компилятор языка описанияIcarus Verilog — компилятор языка описания аппаратуры Verilog. Он поддерживает версии 1995, 2001 и 2005, частично SystemVerilog и некоторые расширения. Используется для симуляции и верификации проектов. Кроме того, в версиях с 0.2 по 0.8 мог использоваться для синтеза (в формат XNF), для ПЛИС Xilinx. Icarus Verilog доступен для OpenSolaris x86, FreeBSD, Linux, AIX, Windows и Mac OS X. Выпускается под лицензией GNU General Public License. В 2004 году являлся одним из 10 наиболее популярных симуляторов для Verilog.иболее популярных симуляторов для Verilog. , Icarus Verilog — компілятор мови опису апаIcarus Verilog — компілятор мови опису апаратури Verilog, призначений для симуляції та веріфікації. Програма має можливість підключення модулів розширення симуляції та кодогенерації. Симуляція виконується віртуальною машиною. Результати симуляції записуються у стандартному форматі (англ. Value Change Dump — дамп зміни значень), для перегляду результатів симуляції у вигляді графіків сигналів необхідна відповідна програма, наприклад, GTKWave. Програма є вільною й розповсюджується під ліцензією GPL, частина файлів коду програми має ліцензію MITтина файлів коду програми має ліцензію MIT , Icarus Verilog is an implementation of theIcarus Verilog is an implementation of the Verilog hardware description language compiler that generates netlists in the desired format (EDIF). It supports the 1995, 2001 and 2005 versions of the standard, portions of SystemVerilog, and some extensions. Icarus Verilog is available for Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X. Released under the GNU General Public License, Icarus Verilog is free software. License, Icarus Verilog is free software.
rdfs:label Icarus Verilog
hide properties that link here 
http://dbpedia.org/resource/Icarus_%28disambiguation%29 + http://dbpedia.org/ontology/wikiPageDisambiguates
http://dbpedia.org/resource/ModelSim + , http://dbpedia.org/resource/Flow_to_HDL + , http://dbpedia.org/resource/Comparison_of_EDA_software + , http://dbpedia.org/resource/Icarus_%28disambiguation%29 + http://dbpedia.org/ontology/wikiPageWikiLink
http://en.wikipedia.org/wiki/Icarus_Verilog + http://xmlns.com/foaf/0.1/primaryTopic
http://dbpedia.org/resource/Icarus_Verilog + owl:sameAs
 

 

Enter the name of the page to start semantic browsing from.